رفتن به مطلب

ارسال های توصیه شده

جزوه بسیار خوبی در رابطه با زبان Verilog که تقریبا بهترین زبان توصیف سخت افزار است را برای شما آماده کرده ایم . این جزوه توسط استاد صفری استادیار دانشگاه تهران آماده شده است و جا دارد از زحمات ایشان قدردانی کنیم . اما خیلی ها تا الان اسم Verilog و یا زبان توصیف سخت افزار را نشنیده اند و نمی دانند که چه کاربردی دارد . توضیح خلاصه ای در این مورد و تاریخچه زبان توصیف سخت افزار و زبان های مطرح در این رابطه برای شما آماده کرده ایم .

زبان توصیف سخت‌افزار چیست ؟

HDL سر نام Hardware Description Language ، به خانواده‌ای از زبان‌های برنامه‌نویسی گفته می‌شود که جهت مدل‌سازی عملکرد بخشی از یک سخت‌افزار به کار گرفته می‌شوند. توصیف سخت‌افزار به کمک HDL ها به دو شکل کلی امکان پذیر است:

روش اول : مدل‌سازی ساختاری سخت‌افزار، که با تشریح ارتباطات خارجی، اجزای تشکیل دهنده داخلی و اتصالات میان‌ آنها، جهت توصیف ساختار و اجزای تشکیل دهنده یک سخت‌افزار به کار برده می‌شود.

روش دوم : مدل‌سازی رفتاری سخت‌افزار، جهت توصیف انتزاعی رفتار یک سخت‌افزار بدون در نظر گرفتن جزئیات ساختار آن می‌باشد که بدون لحاظ کردن اجزای تشکیل دهنده، به توصیف خروجی‌های مدل بر اساس تابعی از ورودی‌های آن می‌پردازد. مدل‌سازی رفتاری یک سخت‌افزار در سطوح مختلفی از انتزاع صورت می‌پذیرد که سطوح بالاتر رفتار سخت‌افزار را به صورت چکیده‌تر با جزئیات کمتری نسبت به سطوح پایین تر انتزاع، توصیف می‌کنند.

طراحی یک سخت‌افزار بر اساس طرح شماتیک مداری که در گذشته از آن به وفور استفاده می‌شد، با اینکه شامل اطلاعات بسیار کاملی در مورد سخت‌افزار و اجزای تشکیل دهنده آن بود، اما در توصیف طرح‌های بزرگ به خاطر حجم بسیار زیاد اجزای تشکیل دهنده طرح بسیار پیچیده گشته و حجم مستندات آن خارج از کنترل می‌گشت. همچنین در روش سنتی طراحی از ایده‌های مطرح شده در طرح هیچ گونه حفاظتی به عمل‌ نمی‌آمد و امکان سواستفاده از آنها توسط اشخاصی که طرح به آنها فروخته می‌شود، وجود داشت.

در طراحی یک سخت‌افزار با توصیف متنی‌ آن به کمک یک زبان برنامه‌نویسی، هم حجم مستندات طرح کاهش یافته و هم امکان حفاظت ایده‌های طرح به وجود آمده است. علاوه بر این توصیف متنی یک سخت‌افزار به کمک HDLها برای کامپیوتر قابل فهم‌تر از طرح شماتیکی آن سخت‌افزار با اطلاعات تصویری می‌باشد.

مهمترین وجه تمایز یک زبان توصیف‌ سخت‌افزار با یک زبان برنامه‌نویسی متداول مانند C ، در نحوه اجرای خط‌کد‌ها می‌باشد، در زبان‌های برنامه نویسی متداول مانند C خط کد‌های نوشته شده به ترتیب از بالا به پایین اجرا شده تا در نهایت به اتمام برسند، در حالی که در زبان‌های توصیف سخت‌افزار اجرای دستورات ماهیت ترتیبی و سریال نداشته و تمام خط‌کدها به صورت موازی و همزمان اجرا می‌شوند، در واقع برای توصیف سخت‌افزاری که ممکن است از چندین بخش‌ ساخته شده باشد که خروجی آنها به صورت همزمان تغییر می‌کند، زبان‌های برنامه‌نویسی متداول با ماهیت اجرای ترتیبی خود کاربردی ندارند.

زبان توصیف سخت‌افزار Verilog

زبان توصیف سخت‌افزاری Verilog‌ سرنام Verifying Logic جهت مدل‌سازی سیستم‌های الکترونیکی ابداع شده است که کلیه مراحل طراحی ، ارزیابی و پیاده سازی یک مدار آنالوگ یا دیجیتال یا یک مدار ترکیبی را در چند سطح انتزاع پوشش می‌دهد.

Syntax این زبان بسیار مشابه به زبان C می‌باشد و در توسعه آن از ویژگی‌های زبان C الگو گرفته شده است. این زبان در سال 1981 توسط Phil Moorby در شرکت Gateway Design Automation ابداع شد و در سال 1985 نرم‌افزار شبیه‌ساز این زبان به نام Verilog-XL عرضه شد در سال 1989 این شرکت به همراه حقوق معنوی این زبان توسط شرکت ‍Cadance خریداری و سپس مستندات آنرا برای استفاده عمومی، به صورت رایگان در اختیار مردم قرار گرفت.

در سال 1993 این زبان توسط IEEE بازنگری و استانداردسازی شد در همین سال بر اساس آمار EE Times حدود 85 درصد از طراحی‌های مدارات مجتمعی که به کارخانه‌های تولید‌کننده ادوات نیمه‌هادی سفارش داده شدند، به زبان Verilog توصیف شده بودند.

از جمله امکانات زبان Verilog محیط PLI آن سر نام Program Language Interface می‌باشد که به کمک آن می‌توان کنترل برنامه را از زبان Verilog بر عهده تابعی که به زبان C نوشته شده است قرار داد، این قابلیت موجب شده تا زبان Verilog انعطاف پذیر گشته و توسعه برنامه در آن توسط زبان C نیز امکان پذیر باشد.

زبان توصیف سخت‌افزاری Verilog مورد استقبال صنایع بزرگ قرار گرفته است به طوری که امروزه این زبان به عنوان یک زبان پرکاربرد در صنعت طراحی و تولید مدارات دیجیتال شناخته شده است. در مقابل زبان VHDL از سوی جامعه آکادمیک مورد استقبال قرار گرفته و بیشتر در پروژه‌های دانشگاهی از آن بهره گرفته می‌شود.

تمرکز وب‌سایت تخصصی سخت‌افزار ایران نیز با توجه به برتری‌های ذکر شده بر روی زبان توصیف سخت‌افزاری Verilog می‌باشد. به همین منظور جهت فراگیری این زبان خودآموز زیر را به خوانندگان گرامی اهدا می‌کنیم.

 

 

برای مشاهده این محتوا لطفاً ثبت نام کنید یا وارد شوید.

 

پسورد:http://www.noandishaan.com

لینک به دیدگاه

به گفتگو بپیوندید

هم اکنون می توانید مطلب خود را ارسال نمایید و بعداً ثبت نام کنید. اگر حساب کاربری دارید، برای ارسال با حساب کاربری خود اکنون وارد شوید .

مهمان
ارسال پاسخ به این موضوع ...

×   شما در حال چسباندن محتوایی با قالب بندی هستید.   حذف قالب بندی

  تنها استفاده از 75 اموجی مجاز می باشد.

×   لینک شما به صورت اتوماتیک جای گذاری شد.   نمایش به صورت لینک

×   محتوای قبلی شما بازگردانی شد.   پاک کردن محتوای ویرایشگر

×   شما مستقیما نمی توانید تصویر خود را قرار دهید. یا آن را اینجا بارگذاری کنید یا از یک URL قرار دهید.

×
×
  • اضافه کردن...